Zum Inhalt springen

  1. Krashinsky, Ronny; Batten, Christopher; Hampton, Mark; Gerding, Steve; Pharris, Brian; Casper, Jared; Asanovic, Krste

    The Vector-Thread Architecture

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Association for Computing Machinery (ACM), 2004

    Erschienen in: ACM SIGARCH Computer Architecture News

  2. Batten, Christopher; Joshi, Ajay; Orcutt, Jason; Holzwarth, Charles; Popovic, Milos; Hoyt, Judy; Kartner, Franz; Ram, Rajeev; Stojanovic, Vladimir; Asanovic, Krste

    Building Manycore Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2016

    Erschienen in: IEEE Micro

  3. Wawrzynek, John; Patterson, David; Oskin, Mark; Lu, Shih-Lien; Kozyrakis, Christoforos; Hoe, James C.; Chiou, Derek; Asanovic, Krste

    RAMP: Research Accelerator for Multiple Processors

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2007

    Erschienen in: IEEE Micro

  4. Schmidt, Colin; Wright, John; Wang, Zhongkai; Chang, Eric; Ou, Albert; Bae, Woorham; Huang, Sean; Milovanovic, Vladimir; Flynn, Anita; Richards, Brian; Asanovic, Krste; Alon, Elad; Nikolic, Borivoje

    An Eight-Core 1.44-GHz RISC-V Vector Processor in 16-nm FinFET

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2022

    Erschienen in: IEEE Journal of Solid-State Circuits

  5. Ham, Tae Jun; Lee, Yejin; Seo, Seong Hoon; Song, U Gyeong; Lee, Jae W.; Bruns-Smith, David; Sweeney, Brendan; Asanovic, Krste; Oh, Young H.; Wills, Lisa Wu

    Accelerating Genomic Data Analytics With Composable Hardware Acceleration Framework

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2021

    Erschienen in: IEEE Micro

  6. Wright, John Charles; Schmidt, Colin; Keller, Ben; Dabbelt, Daniel Palmer; Kwak, Jaehwa; Iyer, Vighnesh; Mehta, Nandish; Chiu, Pi-Feng; Bailey, Stevo; Asanovic, Krste; Nikolic, Borivoje

    A Dual-Core RISC-V Vector Processor With On-Chip Fine-Grain Power Management in 28-nm FD-SOI

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2020

    Erschienen in: IEEE Transactions on Very Large Scale Integration (VLSI) Systems

  7. Schmidt, Colin; Amid, Alon; Wright, John; Keller, Ben; Mao, Howard; Settaluri, Keertana; Salomaa, Jarno; Zhao, Jerry; Ou, Albert; Asanovic, Krste; Nikolic, Borivoje

    Programmable Fine-Grained Power Management and System Analysis of RISC-V Vector Processors in 28-nm FD-SOI

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2020

    Erschienen in: IEEE Solid-State Circuits Letters

  8. Asanovic, Krste; Bodik, Rastislav; Demmel, James; Keaveny, Tony; Keutzer, Kurt; Kubiatowicz, John; Morgan, Nelson; Patterson, David; Sen, Koushik; Wawrzynek, John; Wessel, David; Yelick, Katherine

    A view of the parallel computing landscape

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Association for Computing Machinery (ACM), 2009

    Erschienen in: Communications of the ACM

  9. Amid, Alon; Biancolin, David; Gonzalez, Abraham; Grubb, Daniel; Karandikar, Sagar; Liew, Harrison; Magyar, Albert; Mao, Howard; Ou, Albert; Pemberton, Nathan; Rigge, Paul; Schmidt, Colin; Wright, John; Zhao, Jerry; Shao, Yakun Sophia; Asanovic, Krste; Nikolic, Borivoje

    Chipyard: Integrated Design, Simulation, and Implementation Framework for Custom SoCs

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2020

    Erschienen in: IEEE Micro

  10. Karandikar, Sagar; Mao, Howard; Kim, Donggyu; Biancolin, David; Amid, Alon; Lee, Dayeol; Pemberton, Nathan; Amaro, Emmanuel; Schmidt, Colin; Chopra, Aditya; Huang, Qijing; Kovacs, Kyle; Nikolic, Borivoje; Katz, Randy Howard; Bachrach, Jonathan; Asanovic, Krste

    FireSim: FPGA-Accelerated Cycle-Exact Scale-Out System Simulation in the Public Cloud

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2019

    Erschienen in: IEEE Micro

  11. Keller, Ben; Cochet, Martin; Zimmer, Brian; Kwak, Jaehwa; Puggelli, Alberto; Lee, Yunsup; Blagojevic, Milovan; Bailey, Stevo; Chiu, Pi-Feng; Dabbelt, Palmer; Schmidt, Colin; Alon, Elad; Asanovic, Krste; Nikolic, Borivoje

    A RISC-V Processor SoC With Integrated Power Management at Submicrosecond Timescales in 28 nm FD-SOI

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2017

    Erschienen in: IEEE Journal of Solid-State Circuits

  12. Lee, Yunsup; Waterman, Andrew; Cook, Henry; Zimmer, Brian; Keller, Ben; Puggelli, Alberto; Kwak, Jaehwa; Jevtic, Ruzica; Bailey, Stevo; Blagojevic, Milovan; Chiu, Pi-Feng; Avizienis, Rimas; Richards, Brian; Bachrach, Jonathan; Patterson, David; Alon, Elad; Nikolic, Bora; Asanovic, Krste

    An Agile Approach to Building RISC-V Microprocessors

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2016

    Erschienen in: IEEE Micro

  13. Batten, Christopher; Joshi, Ajay; Orcutt, Jason; Khilo, Anatol; Moss, Benjamin; Holzwarth, Charles W.; Popovic, Miloš A.; Li, Hanqing; Smith, Henry I.; Hoyt, Judy L.; Kartner, Franz X.; Ram, Rajeev J.; Stojanovic, Vladimir; Asanovic, Krste

    Building Many-Core Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics

    Aufsätze
    Online ansehen
    Schließen

    Merkliste

    Sie können Bookmarks mittels Listen verwalten, loggen Sie sich dafür bitte in Ihr SLUB Benutzerkonto ein.

    Institute of Electrical and Electronics Engineers (IEEE), 2009

    Erschienen in: IEEE Micro